APB UVM Agent  0.0.1
apb_sequencer.svh
Go to the documentation of this file.
1 //------------------------------------------------------------
2 // Copyright 2010 Mentor Graphics Corporation
3 // All Rights Reserved Worldwide
4 //
5 // Licensed under the Apache License, Version 2.0 (the
6 // "License"); you may not use this file except in
7 // compliance with the License. You may obtain a copy of
8 // the License at
9 //
10 // http://www.apache.org/licenses/LICENSE-2.0
11 //
12 // Unless required by applicable law or agreed to in
13 // writing, software distributed under the License is
14 // distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR
15 // CONDITIONS OF ANY KIND, either express or implied. See
16 // the License for the specific language governing
17 // permissions and limitations under the License.
18 //------------------------------------------------------------
19 
20 /**
21 * Sequencer specialization for use with apb_driver.
22 *
23 * This implementation is merely a specialization of the default uvm_sequencer
24 * and would be equally well implemented as a `typedef`.
25 */
26 class apb_sequencer extends uvm_sequencer #(apb_seq_item, apb_seq_item);
27 
28 // UVM Factory Registration Macro
29 //
30 `uvm_component_utils(apb_sequencer)
31 
32 // Standard UVM Methods:
33 
34 /**
35 * COnventional UVM component constructor.
36 */
37 extern function new(string name="apb_sequencer", uvm_component parent = null);
38 
39 endclass: apb_sequencer
40 
41 function apb_sequencer::new(string name="apb_sequencer", uvm_component parent = null);
42  super.new(name, parent);
43 endfunction
uvm_component_utils(apb_sequencer) new(string name
COnventional UVM component constructor.
Sequencer specialization for use with apb_driver.